Ultrasonic portable device principle and system division

In the early 1990s, portable phones were all the rage. As laptops shrink in size, they are also known as "backpack phones." At present, the electronics industry has made great strides. Today's mobile phones can send e-mails and text messages, take photos, check stock prices, schedule meetings, and of course, talk to anyone in the world. Also in the medical field, the so-called portable ultrasound systems previously loaded on carts can be towed, but in reality they are difficult to drag. Fortunately, the ultrasound system is also improving, and is called "new stethoscope" by doctors.

This article reviews the classic ultrasound signal links, discusses the different system partitioning strategies and their advantages and disadvantages, and demonstrates the implications of these system partitioning strategies in portable ultrasound applications.

Ultrasonic signal link

Ultrasonic portable device principle and system division

Figure 1. Typical ultrasound signal link

Figure 1 shows a simplified schematic of an ultrasound system. The sensors of the system are located at the end of a relatively long cable, which is about two meters long. These cables contain at least 8 to 256 miniature coaxial cables and are among the most expensive components of the system. In almost every system, the cable is driven directly by the sensor unit. The capacitance of the cable becomes the load of the sensor element, causing a large signal loss, which imposes sensitivity requirements on the receiving end in order to maintain dynamic range and achieve optimal system performance.

At the transmit end (Tx path), the beamformer determines the delay mode and pulse sequence, which is set for the desired focus. The high voltage transmit amplifier that drives the sensor then amplifies the output of the beamformer. These amplifiers can be controlled by a digital-to-analog converter (DAC) or a high-voltage FET switch array to shape the transmit pulses for better energy transfer to the sensor unit. At the receiving end, a transmit/receive (T/R) switch (usually a diode bridge) blocks the Tx high voltage pulse. The use of high voltage (HV) multiplexers/demultiplexers in some arrays reduces the complexity of the transmit and receive hardware, but at the expense of flexibility.

The Time Gain Control (TGC) path consists of a low noise amplifier (LNA), a variable gain amplifier (VGA), and an analog-to-digital converter (ADC). Under the control of the operator, the TGC path is used to maintain image uniformity during the scan. Good noise performance depends on the LNA, which reduces the contribution of the back VGA to noise. For applications that benefit from input impedance matching, active impedance control optimizes noise performance.

The wide dynamic range input signal is compressed by VGA to meet the input range requirements of the ADC. The LNA's conversion to the input noise limits the minimum input signal that can be resolved, and the noise that is converted to the output depends primarily on the VGA, which limits the maximum instantaneous dynamic range at a particular gain control voltage. This limit is set based on the quantized noise floor, which is determined by the resolution of the ADC.

The anti-aliasing filter (AAF) limits the signal bandwidth while also limiting other noise in the TGC path before the ADC.

Beamforming of medical ultrasound is defined as the phase alignment and summation of the signals generated by a common source but received by the multivariate ultrasound sensor at different points in time. In the CWD path, the receiver channels are phase shifted and summed to extract consistent information. Beamforming has two functions: one is to indicate the direction of the sensor, that is, to increase its gain, and the other is to define the focus in the human body from which the position of the echo is obtained.

For beamforming, two distinct methods can be used: analog beamforming (ABF) and digital beamforming (DBF). The main difference between ABF and DBF systems is the way beamforming is done; both methods require good channel-to-channel matching. In ABF, analog delay lines and summation are used. Only one (very high resolution) high speed ADC is needed. On the other hand, in a DBF system, multiple high-speed, high-resolution ADCs are required. Sometimes the logarithmic amplifier is used to compress the dynamic range before the ADC of the ABF system. In a DBF system, signals should be collected as close as possible to the sensor unit, and then the signals are delayed and digitally summed. A simplified schematic of these two types of beamforming architectures is shown in Figures 2 and 3.

Ultrasonic portable device principle and system division

Figure 2. Simplified schematic of the ABF system

Ultrasonic portable device principle and system division

Figure 3. Simplified schematic of the DBF system

Because DBF is more flexible, most modern image acquisition ultrasound systems often use this method, but it should be noted that the advantages and disadvantages between ABF and DBF are relative.

Advantages of DBF over ABF:

The matching between the channels of the analog delay line tends to be poor. The number of delay taps in the analog delay line is limited, and the trimming circuit must be used after the data is acquired. The digital storage and summation are "perfect", so between the channels The matching is also perfect. By summing the data at different locations in the FIFO, multiple beams can be easily formed. Since the memory is cheaper and cheaper, a larger FIFO can be used to provide a finer delay, which can be made only by software. The system has different functions. The performance of the digital IC continues to increase at a very high speed.

The disadvantages of DBF over ABF:

Multiple high-speed, high-resolution ADCs are required (pulse-width Doppler requires a dynamic range of approximately 60 dB, which requires at least a 10-bit ADC). Due to the use of multiple ADCs and digital beamforming ASICs, the sampling of higher power ADCs is required. The rate directly affects the resolution and the accuracy of the phase delay adjustment between channels; the higher the sampling rate, the finer the phase delay.

System partitioning strategy

Although today's systems already have a large number of advanced technologies, ultrasound system design is still complex. For other complex systems, there are many ways to divide the system. A variety of ultrasound system partitioning strategies are discussed in this section, all of which focus on solving the problem of system portability.

For many years, manufacturers have implemented custom ASICs to implement complex systems. The solution typically includes two ASICs that cover the main parts of the TGC path and the Rx/Tx path, as shown in Figure 4. This approach is common until multi-channel VGAs, ADCs, and DACs are widely used. This custom circuit allows designers to add some inexpensive, flexible features. This solution is considered to be cost effective by integrating most of the signal links and reducing the number of external components used in the system. However, the disadvantage is that over time, the development of lithography has made these ASICs seem backward and cannot meet the need to further reduce the size and power consumption. ASICs have a large number of gates and their digital technology cannot be optimized for integrated analog functions. And only a limited number of vendors can customize ASIC devices, which will cause designers to face a bottleneck.

Ultrasonic portable device principle and system division

Figure 4. ASIC method

In the previous example, the portability of the ultrasound system was limited, but it was indeed feasible. Even so, this is an important first step in solving the problem of system partitioning. Portability is not only in terms of volume, but also in terms of battery life, because these circuits have very high power requirements. With the advent of four- and eight-channel TGCs, ADCs, and DACs, volume and power consumption are further reduced, resulting in a new system approach to address portability issues. These multi-channel devices allow designers to place sensitive circuits on two or more boards while constructing the system. This can reduce system size and facilitate reuse of the circuit on multiple development platforms. However, this method also has shortcomings. The system size reduction also depends on system partitioning. Multi-channel devices may make the PCB layout extremely cumbersome, forcing designers to use devices with fewer channels, such as from an eight-channel ADC to a four-channel ADC. And if the system is small, it will also cause heat dissipation.

With the further integration of the complete TGC path, as shown in Figure 5, multi-channel, multi-element integration makes the design easier because of the further reduction in PCB size and power requirements. With the widespread use of more advanced integration solutions, the cost, number of suppliers, system size and power consumption can be further reduced, system heat dissipation is reduced, and battery life in portable units is extended. Designed to meet the compactness requirements, Analog Devices' AD9271 ultrasound subsystem is available in a tiny 14 mm & TImes; 14 mm & TImes; 1.2 mm package. Each complete TGC channel consumes only 150 mW at 40 MSPS. The AD9271 uses a serial I/O interface to reduce the number of pins, thus reducing the total area of ​​each channel by at least 1/3 and reducing power consumption by at least 25%.

Ultrasonic portable device principle and system division

Figure 5. TGC integration

But the AD9271 is unlikely to meet the requirements of every ultrasound system designer. The ideal solution is to integrate more functional units into the probe or to bring it as close as possible to the probe element. It should be noted that the cable connecting the probe unit has some adverse effects on the dynamic range and is costly. If the front-end electronics are closer to the probe, the loss of the probe that affects signal sensitivity can be reduced, allowing the designer to reduce the system's LNA requirements. A method is proposed in Figure 6, which integrates the LNA into the probe unit. Another method is to place the VGA control between the probe and the components on the board. As devices shrink in size, systems can be packaged in ultra-small packages. But the downside of this approach is that the designer needs a fully custom designed probe. In other words, the custom design of the probe/electronics will return the designer to the bottlenecks present in the ASIC instance, and the vendor is limited.

Ultrasonic portable device principle and system division

Figure 6. Probe integration

All in all, it should be commended that most ultrasound systems companies today use most of their intellectual property (IP) for probe and beamforming technologies. Common devices using multi-channel integration, including four- and eight-channel ADCs, eliminate the need for high-cost components and simplify the tuning and optimization of independent TGC paths. It should also be noted that further integration of other parts of the ultrasound system may also be considered. Integration of these other signal link portions would be advantageous with the availability of production capacity and appropriate market-oriented objectives.

Ultrasound system portability trends

Many applications are aware of the superiority of ultrasound and therefore have high requirements for the portability of ultrasound systems. Even in remote applications where reliable power is not available, these devices can be used due to increased portability. These applications include clinical care in remote villages, emergency medical services, large animal feeding, and inspection of bridges, large machinery, and oil pipelines.

Ultrasound systems can be divided into three categories: high end, mid end and low end. High-end ultrasound systems use the latest technology to meet the latest market requirements and provide the best image quality. Mid-range ultrasound systems typically have some of the characteristics of high-end ultrasound systems without sacrificing image quality. The low-end ultrasound system is generally small in size and is generally used in specific applications such as clinical medicine. Obviously, high-end ultrasound systems are very expensive and rely on different divisions depending on the application and market needs. However, the trend in portability has degraded many "high end" features, typically typical low end or portable features. In general, this trend has evolved with technological advances in the industrial and electronics industries. As these advancements have pushed the device's size, power, and performance to the limit, the growing demand is to move portable devices from low-end systems to high-end systems. Although ultrasound systems have become known as clinical medical and preventive maintenance tools, initially, usage rates are still low because the cost of portable ultrasound systems includes not only for procurement costs, but also for new users. The cost of training, but portable ultrasound systems will become increasingly popular as long-term benefits outweigh the cost.

in conclusion

Understanding the nuances of complex systems such as ultrasound systems requires years of research and development. We should be grateful to the original developers who pioneered new areas and determined the direction of research that will enable cutting-edge electronics to benefit humanity. Pulse echo technology was used early to detect large underwater hulls and submarines, and for crack inspection in structural fabrication. The widespread use of ultrasonic technology is only a matter of time.

The demand for portable ultrasound systems is growing in medical and industrial applications. All of these systems have similar requirements for compactness and portability. In the near future, you can use your mobile phone to send scanned images of your baby.

Laser Protective Lens

Realpoo Optics offer high quality fused silica laser protective windows are designed for useing with high power fiber lasers, to protective laser optics against damage from material splatter. Laser proteictive window is coated AR coating 1064nm in both sides. The substrate of the window is normally JGS1 or Fused Silica(FS). The diameter 18mm to 55mm is available.

how to use laser protective lens

Laser Protective Lens,Laser Cutting Fused Silica,Laser Fused Silica Glass, Laser Protective Window

Changchun Realpoo Photoelectric Co., Ltd. , https://www.optics-realpoo.com

This entry was posted in on